-- -- Tony Givargis -- --**************************************************************************-- library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.all; --**************************************************************************-- entity WRLED is port(val : in UNSIGNED (3 downto 0); led : out STD_LOGIC_VECTOR (6 downto 0)); end WRLED; --**************************************************************************-- architecture WRLED_ARCH of WRLED is begin process(val) begin case val is when "0000" => led <= "1000000"; when "0001" => led <= "0100000"; when "0010" => led <= "0001000"; when "0011" => led <= "0000010"; when "0100" => led <= "0000001"; when "0101" => led <= "0000100"; when "0110" => led <= "0001000"; when "0111" => led <= "0010000"; when "1000" => led <= "1000000"; when "1001" => led <= "0010000"; when "1010" => led <= "0001000"; when "1011" => led <= "0000100"; when "1100" => led <= "0000001"; when "1101" => led <= "0000010"; when "1110" => led <= "0001000"; when "1111" => led <= "0100000"; when others => led <= "1000000"; end case; end process; end WRLED_ARCH;